From 3c57a25a4d6a80301ece82ab33f1394e34f8b873 Mon Sep 17 00:00:00 2001 From: Boris Kolpackov Date: Thu, 23 Jul 2015 09:59:52 +0200 Subject: Basic test support --- tests/test/simple/test.std | 1 + 1 file changed, 1 insertion(+) create mode 100644 tests/test/simple/test.std (limited to 'tests/test/simple/test.std') diff --git a/tests/test/simple/test.std b/tests/test/simple/test.std new file mode 100644 index 0000000..5d63fab --- /dev/null +++ b/tests/test/simple/test.std @@ -0,0 +1 @@ +test is running (stdout) -- cgit v1.1