From a1cec9e0df14f3c1a833e2a447b5324ff9c430d3 Mon Sep 17 00:00:00 2001 From: Boris Kolpackov Date: Tue, 21 Jul 2015 16:21:07 +0200 Subject: Test module genesis --- tests/test/simple/utility.cxx | 1 + 1 file changed, 1 insertion(+) create mode 100644 tests/test/simple/utility.cxx (limited to 'tests/test/simple/utility.cxx') diff --git a/tests/test/simple/utility.cxx b/tests/test/simple/utility.cxx new file mode 100644 index 0000000..c4bb446 --- /dev/null +++ b/tests/test/simple/utility.cxx @@ -0,0 +1 @@ +void f (){} -- cgit v1.1