From a1cec9e0df14f3c1a833e2a447b5324ff9c430d3 Mon Sep 17 00:00:00 2001 From: Boris Kolpackov Date: Tue, 21 Jul 2015 16:21:07 +0200 Subject: Test module genesis --- tests/test/simple/build/bootstrap.build | 4 ++++ tests/test/simple/buildfile | 10 ++++++++++ tests/test/simple/driver.cxx | 4 ++++ tests/test/simple/utility.cxx | 1 + 4 files changed, 19 insertions(+) create mode 100644 tests/test/simple/build/bootstrap.build create mode 100644 tests/test/simple/buildfile create mode 100644 tests/test/simple/driver.cxx create mode 100644 tests/test/simple/utility.cxx (limited to 'tests/test') diff --git a/tests/test/simple/build/bootstrap.build b/tests/test/simple/build/bootstrap.build new file mode 100644 index 0000000..0b7a347 --- /dev/null +++ b/tests/test/simple/build/bootstrap.build @@ -0,0 +1,4 @@ +project = test-simple +amalgamation = # Disabled. +using config +using test diff --git a/tests/test/simple/buildfile b/tests/test/simple/buildfile new file mode 100644 index 0000000..6113968 --- /dev/null +++ b/tests/test/simple/buildfile @@ -0,0 +1,10 @@ +using cxx + +hxx.ext = hxx +cxx.ext = cxx + +lib{utility}: cxx{utility} +exe{driver}: cxx{driver} lib{utility} +exe{driver}: test = true + +.: lib{utility} exe{driver} diff --git a/tests/test/simple/driver.cxx b/tests/test/simple/driver.cxx new file mode 100644 index 0000000..70b4146 --- /dev/null +++ b/tests/test/simple/driver.cxx @@ -0,0 +1,4 @@ +int +main () +{ +} diff --git a/tests/test/simple/utility.cxx b/tests/test/simple/utility.cxx new file mode 100644 index 0000000..c4bb446 --- /dev/null +++ b/tests/test/simple/utility.cxx @@ -0,0 +1 @@ +void f (){} -- cgit v1.1